發表文章

目前顯示的是 6月, 2007的文章

C# 語言初試

C# 程式撰寫首要 首先要安裝 SDK(Software Developement Kit) ,這裡是使用 .NET 2.0 SDK,3.0雖然釋出了,不過目前我還不嘗鮮,說真的,微軟的SDK還真大,434.3 MB看似包山包海的樣子,SDK中有編譯、建置、測試和部署 .NET 應用程式所需的工具、文件及範例程式。 Microsoft .NET Framework 2.0 軟體開發套件 (SDK) x86 連結可能被移除,在此提供搜尋關鍵字:.NET SDK C#編譯器的位置是在 C:\WINDOWS\Microsoft.NET\Framework\ v2.0.50727 最後一個目錄是版本號碼,.Net 1.1的號碼就是由v1.1所組成 C++編譯器的位置在 C:\Program Files\Microsoft Visual Studio 8\VC\bin 寫出來只是因為C++與C#的位置不同,此外C++編譯器我直接執行它只會馬上當掉,應該是要搭配Visual C++開發軟體,先前微軟曾釋出免費的開發工具 Visual Studio Express,應該不難找 我只使用C#,所以就將C#執行檔的路徑加到統的 環境變數 (Path) 的最後面,我習慣是分號後將用雙引號括著的路徑加上去。 然後開始編譯程式,『開始』→『執行』,鍵入cmd,切換到下面這隻程式碼的所在位置 csc 檔案名稱.cs 幸運的話(沒有任何錯誤訊息),你就能得到一個執行檔(.exe),就是你編譯好的C#程式囉!與Java不同的就是它可以直接雙擊執行程式。 初試 C# 程式語言 using System;   /** * 找出 A ~ B 之間的所有的質數 */ public class prime {  /**   * 主程序   */  public static void Main() {   int a,b,i;   Console.WriteLine("input number1:");   a = Int32.Parse(Console.ReadLine());   Console.WriteLine("input number2:");   b = Int32.Parse(Console.ReadLine());   for (

筆記:以C/C++概念來說正確的VHDL除頻器

我昨天還為下面這樣的寫法而驚呼,想說這樣都能Compile,那VHDL是否可寫遞迴? 這個程式碼是一個除頻器,我希望能夠利用 generic 於 Compile time 動態改變程式碼內容的特性,進而造就一個"只"需要指定數的數字,就能動態的計算出數字所需要的 bit 數目,如此一來,我只需要在使用component的時候,設定數數,就能完成除頻器,好用的不得了。 VHDL程式碼 01 library IEEE; 02 use ieee.std_logic_1164.all; 03 use ieee.numeric_std.all; 04 05 entity Vhdl1 is 06  generic(COUNT_NUMBER : integer := 16); 07  port(clk: in std_logic; 08  clkout: out std_logic); 09 end entity Vhdl1; 10 11 architecture main of Vhdl1 is 12  function getCountNumberBits (number :integer) 13  return integer is 14   variable c: integer := 0; 15   variable n: integer := number; 16  begin 17   while n /= 0 loop 18    n := n /2; 19    c := c + 1; 20   end loop; 21   return c; 22  end function getCountNumberBits; 23 begin 24  count: process(clk) is 25   variable COUNTED_NUMBER: integer := COUNT_NUMBER-1; 26   variable COUNTED_NUMBER_BITS: integer := getCountNumberBits(COUNTED_NUMBER); 27    variable cnt: unsigned(COUNTED_NUMBER_BITS-1 downto 0) := (others=>'0&#